[VHDL] Przesuwany napis Hello

Są to moje początki z językiem VHDL, więc mam pytanie czy może mi ktoś pomóc z tym programem.

 

Napis “HELLO” przesuwa się jak baner z częstotliwością 1Hz w lewą stronę na wyświetlaczach HEX. Napis przesuwa się cyklicznie, z tym, że ponownie na wyświetlaczu pojawia się dopiero po całkowitym zniknięciu.  Zamiast napisu HELLO przesuwa się 16-bitowa liczba podana aktualnie na SW 0-15 . KEY0-2 - ustawienie częstotliwości przesuwania liczby, odpowiednio: 0.5Hz, 1Hz i 2Hz dla KEY0, KEY1 i KEY2

zrobiłeś już coś czy obudziłeś się z projektem pod koniec semestru ?;p

Chyba trafiłeś w sedno problemu. :wink:

http://www.cs.put.poznan.pl/mkierzynka/students.html